Texnologiyalari va kommunikatsiyalarini rivojlantirish vazirligi muhammad al-xorazmiy nomidagi


Download 0.54 Mb.
Pdf ko'rish
Sana27.11.2020
Hajmi0.54 Mb.
#153708
Bog'liq
RQLK 5-Laboratoriya


O’ZBEKISTON RESPUBLIKASI AXBOROT 

TEXNOLOGIYALARI VA KOMMUNIKATSIYALARINI 

RIVOJLANTIRISH VAZIRLIGI 

MUHAMMAD AL-XORAZMIY NOMIDAGI 

TOSHKENT AXBOROT TEXNOLOGIYALARI 

UNIVERSITETI 

 

Elektronika va Radiotexnika kafedrasi 

Raqamli qurilmalarni loyihalashga kirish fani bo‘yicha 

 

 



LABORATORIYA ISHI 

 

 

Mavzu: Triggerlarni tadqiq etish. 

 

 

 



 

 

 



 

Guruh:   413-18 

Bajardi: Burxoniddin Yarashev 

                 

 

 

 



Tekshiridi: Xo’jamiyor Teshabayev 

 

 



 

 

TOSHKENT 2020



 

 

 

1- Topshiriq. RS triggerlarni tadqiq etish. 

 

1.1. RS trigger o‘zi nima?  

1.2. Toʻg‘ri kirishli asinxron RS trigger(shartli[sxematik] belgisi, ichki tuzilishi, 

holat jadvali, MultiSim dasturida[2 YOKI-EMAS ME asosida] yig‘ilgan holati)  

1.3. Teskari kirishli asinxron RS trigger(shartli[sxematik] belgisi, ichki tuzilishi, 

holat jadvali, MultiSim dasturida[2 HAM-EMAS ME asosida] yig‘ilgan holati) 

 1.4. Sinxron RS trigger(shartli[sxematik] belgisi, ichki tuzilishi holat jadvali, 

MultiSim dasturida[ME lar asosida] yig‘ilgan holati) 

 1.5. RS trigger MEni (shartli[sxematik] belgisi, holat jadvali, MultiSim 

dasturida[RS ME asosida] yig‘ilgan holati)  

1.6. Quyidagi sxemani MultiSim dasturida yig‘ib holat jadvali bo‘yicha ishlashini 

tekshirish. 

 

 

Javob 



1.1 

RS trigger eng asosiy ketma-ket mantiqiy sxemalardan biri sifatida qaraladi. Uning 

ikkita usuli bor, ulardan biri "SET" deb nomlanadi, u qurilmani o'rnatadi (chiqish 

= 1) va S yorlig'i bilan, boshqasi esa "RESET" deb nomlanadi, u qurilmani (chiqish 

=  0)  R  deb  belgilaydi.  SET  /  RESET  uchun. 

ME 


RESET  usuli  yordamida  asl 

holatiga  qaytariladi  va  natijada  Q  "1"  mantiqiy  darajasida  yoki  "0"  mantiqida 

bo'ladi.  Bu  flip-flopning  o'rnatish  /  tiklash  holatiga  bog'liq. 

ME 


so'zi  shuni 

anglatadiki, uni "mantiqiy holatga" yoki boshqasiga "FLOPPED" qo'yish mumkin. 

1.2. Toʻg‘ri kirishli asinxron RS trigger shartli belgisi: 

 

Ichki tuzilish:                                                                                Holat jadvali:                                                        



 

 

 



 

 

 

 

 

1.3. Teskari kirishli asinxron RS trigger shartli belgisi: 



 

Ichki tuzilish:                                                              



 

 

1.4.


  Kirish  signali  o'zgarib  turganda,  asosiy  RS  trigger  chiqish  holatini  darhol 

o'zgartiradi, 

bu 

nafaqat 


elektronning 

shovqinlarga 

qarshi 

qobiliyatini 



yomonlashtiradi,  balki  bir  nechta 

ME 


larning  sinxronlash  ishlariga  noqulaylik 

tug'diradi. Amaliy dasturlarda flip-flop holati odatda ma'lum bir vaqtda o'zgarishi 

talab qilinadi, ya'ni soat impulsi kelganida holat kirish signaliga qarab o'zgartiriladi; 


soat signali bo'lmaganida, hatto kirish signali o'zgargan bo'lsa ham, 

ME

ning chiqish 



holati  ta'sir  qilmaydi.  Shu  maqsadda  CP  kirish  terminali  va  unga  mos  keladigan 

kirishni  boshqarish  davri  qo'shiladi  va  sinxron  RS 

ME 

kabi  raqamli  chip 



ta'minlanadi. 

 

     Sinxron RS elektron tuzilishi va mantiqiy belgilari: 



 

1.5.  


 

 

 



2- Topshiriq. D triggerni tadqiq etish. 

2.1. D trigger o‘zi nima?  

2.2. Asinxron va sinxron D trigger(shartli[sxematik] belgisi, ichki tuzilishi, holat 


jadvali, MultiSim dasturida[2 YOKI-EMAS ME yoki 2 HAM-EMAS ME 

asosida] yig‘ilgan holati)  

2.3. D trigger MEni (shartli[sxematik] belgisi, holat jadvali, MultiSim dasturida[D 

ME asosida] yig‘ilgan holati)  

2.4. Quyidagi sxemani MultiSim dasturida yig‘ib holat jadvali bo‘yicha ishlashini 

tekshirish. 

l

 

 



D-trigger. D-trigger yagona D (data) ma’lumot kirishiga ega. Uning kam 

miqdorda ishlab chiqarilishiga sabab, narxi yuqori bo‘lgan chiqishlarning kichik 

soni. D-trigger uchun to‘rtta tashqi chiqish kifoya: D-ma’lumot kirishi, S-takt 

kirishi, ikkita Q va Q chiqishlar (ularning biri mavjud bo‘lmasligi ham mumkin 



 

 D-

trigger chiqishidagi ma’lumot navbatdagi sinxrosignal kelguncha o‘zgarishsiz 

qoladi, ya’ni kechikish mavjud. Shunga asosan D-trigger kechikish triggeri deb 

ataladi. D-triggerning MAFi quyidagicha bo‘ladi Qn





 СQn 

 СD  



 

 

 

 

 

Trigger - bir razryadli ikkilik axborot (“0”yoki”1”)ni saqlaydigan xotira elementi. 

Mantiqiyelementlardan farqli ravishda trigger ichki holatga - xotiraga ega. 

Triggerlar ikkita chiqishga: 1) Q- to'g'ri chiqish. 2) -inkorli chiqishga ega. 

Triggerlarni informatsiyani qabul qilish usuli qurilish prispi, , hamda funksional 

imkoniyatlari bo'yicha sinflash mumkin. Informatsiyani qabul qilishi bo'yicha: 

asinxron va sinxron triggerlar mavjud. Asinxron triggerlar informatsion 

kirishlarida signallarning paydo bo'lish momentida o'z reaksiyalarini ko'rsatadi. 

Sinxron triggerlar esa sinxron signal kirishi S dagi boshqaruvchi impuls signali 

mavjud bo'lgandagina informatsion kirishlardagi signallarga o'z reaksiyalarini 

bildiradilar. Sinxron triggerlar o'z navbatida S kirish orqali boshqariladigan 

statik va dinamik turlarga bo'linadi. Statik boshqarishli triggerlar informatsion 


kirishlardagi signallarni S kirishiga «1» yoki «0» signallari berilgandagina qabul 

qila oladi. Dinamik boshqarishli triggerlar esa informatsion kirishlardagi 

signallarni S kirishdagi signal «0» dan «1» ga o'zgarganda yoki «1» dan «0» ga 

o'zgarganda qabul qila oladi. Statik triggerlar bir bosqichli va ikki bosqichli 

turlarga bo'linadi. Bir bosqichli triggerlar informasiyani saqlashning bir 

bosqichi, ikki bosqichli triggerlar esa informasiyani saqlashning ikki bosqichi 

mavjudligi bilan xarakterlanadi. Dastlab informatsiya birinchi bosqichga 

yoziladi, keyin ikkinchi bosqichga ko'chirib o'tkaziladi va iformatsiya trigger 

chiqishida paydo bo'ladi.Funksional imkoniyatlarga ko'ra triggerlar quyidagi 

turlarga bo'linadi: 

- «0» va «1» xolatlarga aloxida-aloxida o'rnatiladigan triggerlar (RS-trigger); 

 

 



nformatsiyani qabul qilishi bo'yicha: asinxron va sinxron triggerlar mavjud. 

Asinxron triggerlar informatsion kirishlarida signallarning paydo bo'lish 

momentida o'z reaksiyalarini ko'rsatadi. Sinxron triggerlar esa sinxron signal 

kirishi S dagi boshqaruvchi impuls signali mavjud bo'lgandagina informatsion 

kirishlardagi signallarga o'z reaksiyalarini bildiradilar. Sinxron triggerlar o'z 

navbatida S kirish orqali boshqariladigan statik va dinamik turlarga bo'linadi. 



Statik boshqarishli triggerlar informatsion kirishlardagi signallarni S kirishiga «1» 

yoki «0» signallari berilgandagina qabul qila oladi. Dinamik boshqarishli 

triggerlar esa informatsion kirishlardagi signallarni S kirishdagi signal «0» dan 

«1» ga o'zgarganda yoki «1» dan «0» ga o'zgarganda qabul qila oladi. Statik 

triggerlar bir bosqichli va ikki bosqichli turlarga bo'linadi. Bir bosqichli triggerlar 

informasiyani saqlashning bir bosqichi, ikki bosqichli triggerlar esa informasiyani 

saqlashning ikki bosqichi mavjudligi bilan xarakterlanadi. Dastlab informatsiya 

birinchi bosqichga yoziladi, keyin ikkinchi bosqichga ko'chirib o'tkaziladi va 

iformatsiya trigger chiqishida paydo bo'ladi. 44 Funksional imkoniyatlarga ko'ra 

triggerlar quyidagi turl 

Funksional imkoniyatlarga ko'ra triggerlar quyidagi turlarga bo'linadi: - «0» va 

«1» xolatlarga aloxida-aloxida o'rnatiladigan triggerlar (RS-trigger); - kirish 

bo'yicha informasiyani qabul qiluvchi triggerlar (D-trigger yoki kechiktirish 

triggeri); - sanoqli kirishga ega triggerlar (T-trigger); - J va K informasion kirishli 

universal triggerlar (JK-trigger). Diskret elementlar asosida qurilgan simmetrik 

triggerning elektr sxemasi. 

Q(t)=0 holda: R=1, S=0 bo'lsa Q(t+1)=0 bo'ladi, Q(t)=1 holda: R=1, S=0 bo'lsa 

Q(t+1)=0 bo'ladi, Q(t)=0 holda: R=0, S=1 bo'lsa Q(t+1)=1 bo'ladi. Q(t)=1 holda: 

R=0, S=1 bo'lsa Q(t+1)=t bo'ladi. 

Q(t)=0 holda: R=1, S=0 bo'lsa Q(t+1)=0 bo'ladi, Q(t)=1 holda: R=1, S=0 bo'lsa 

Q(t+1)=0 bo'ladi, Q(t)=0 holda: R=0, S=1 bo'lsa Q(t+1)=1 bo'ladi. Q(t)=1 holda: 

R=0, S=1 bo'lsa Q(t+1)=t bo'ladi. 

Q(t)=0 holda: R=1, S=0 bo'lsa Q(t+1)=0 bo'ladi, Q(t)=1 holda: R=1, S=0 bo'lsa 

Q(t+1)=0 bo'ladi, Q(t)=0 holda: R=0, S=1 bo'lsa Q(t+1)=1 bo'ladi. Q(t)=1 holda: 

R=0, S=1 bo'lsa Q(t+1)=t bo'ladi. 

Q(t)=0 holda: R=1, S=0 bo'lsa Q(t+1)=0 bo'ladi, Q(t)=1 holda: R=1, S=0 bo'lsa 

Q(t+1)=0 bo'ladi, Q(t)=0 holda: R=0, S=1 bo'lsa Q(t+1)=1 bo'ladi. Q(t)=1 holda: 

R=0, S=1 bo'lsa Q(t+1)=t bo'ladi. 



 

 

 



 

  

 



 Q(t)=0 holda: R=1, S=0 bo'lsa Q(t+1)=0 bo'ladi, 

 Q(t)=1 holda: R=1, S=0 bo'lsa Q(t+1)=0 bo'ladi, 

 Q(t)=0 holda: R=0, S=1 bo'lsa Q(t+1)=1 bo'ladi. 

 Q(t)=1 holda: R=0, S=1 bo'lsa Q(t+1)=t bo'ladi. 

 

Bu triggerning ishlash jadvali quyidagicha: RS-triggerining quyidagi turlari 



mavjud: asinxron RS-triggeri, teskari kirishli asinxron RStriggeri va sinxron RS-

triggeri. Hisoblash texnikasida keng qo'llaniladigan triggerlarning ichki 

strukturasi, sxematik belgisi va ishlash prinsipi 1-jadvalda keltirilgan. Ikki 

bosqichli universal JK-triggerining prinsipial sxemasi 

 


 

 


 

 

Universal JK-triggerida agar C=1 bo'lsa, triggerdagi kirish impulslar 1-bosqichga 

qabul qilinadi. C=0 bo'lganda, 2-bosqich 1- bosqichdagi holatni o'ziga qabul 

qiladi. JK-triggerining sxematik ko'rinish 

 

JK- universal triggerining ishlash jadvali. 



 

JK-universal triggeri asosida bir necha triggerlarni xosil qilish mumkin. Quyida 

RS, T, Dtriggerlarini qurish sxemalari keltirilgan 

 

 



 

 

 



 

3-Topshiriq. T triggerni tadqiq etish.  

3.1. T trigger o‘zi nima?  

3.2. Asinxron va sinxron T trigger(shartli[sxematik] belgisi, ichki tuzilishi, 

holat jadvali, MultiSim dasturida[2 YOKI-EMAS ME yoki 2 HAM-EMAS 

ME asosida] yig‘ilgan holati)  

3.3. T trigger MEni (shartli[sxematik] belgisi, holat jadvali, MultiSim 

dasturida [T ME asosida] yig‘ilgan holati) 

 

T-trigger. Ikki pog‘onali triggerlar registr va hisoblagich kabi ko‘prazryadli 

qurilmalar ishi uchun mo‘ljallangan bo‘lib, ularda triggerli yacheykalarning 

ishonchli va aniq ishlashi talab etiladi. T-trigger sanoq triggeri deb ham ataladi, 

chunki kirishga aktiv mantiqiy signal berilganda u o‘z holatini qarama-qarshi 

(teskari) holatga o‘zgartiradi 



 

 

4- Topshiriq. JK triggerni tadqiq etish. 

4.1. JK trigger o‘zi nima?  

4.2. Asinxron va sinxron JK trigger(shartli[sxematik] belgisi, ichki tuzilishi, holat 

jadvali, MultiSim dasturida[2 YOKI-EMAS ME yoki 2 HAM-EMAS ME 

asosida] yig‘ilgan holati)  



4.3. JK trigger MEni (shartli[sxematik] belgisi, holat jadvali, MultiSim dasturida 

[JK ME asosida] yig‘ilgan holati) 

 

JK-trigger universal trigger hisoblanadi chunki uning asosida sodda 



kommutatsion o‘zgartirishlarni bajarib, ixtiyoriy turdagi trigger hosil qilish 

mumkin. JK-trigger man etilgan kirish signallar kombinatsiyasiga ega emas. Agar 

mantiqiy 1 signali aktiv bo‘lsa, u holda JK-triggerning o‘tishlar jadvali quyidagi 

ko‘rinishga ega bo‘ladi  



 

 

 

 



 

Ushbu  turdagi  triggerlarning  nomini  Eldrid  Nelson  Hughes  Aircraft-da  ishlagan 

paytida taklif qilgan. Ushbu tetikning mantiqiy sxemasini ishlab chiqishda Nelson 

A va B, C va D, E va F, G va H, J va K qarama-qarshi ta'sirli trigger kirishlarini 

juftlarni  belgilab  qo'ydi,  1953  yilda  patent  ta'rifida  u  ta'riflagan  triggerning 

kiritmalari uchun,  keyinchalik  olingan JK-trigger  nomi,  Nelson  "J-input"  va  "K-

input" yozuvlaridan foydalangan. JK-trigger ham RS-trigger bilan bir xil ishlaydi, 

bitta qismi bundan mustasno: mantiqiy birlik ikkala J va K kirishga tatbiq etilganda 

trigger  chiqish  holati  teskari  bo'ladi,  ya'ni  inversiya  operatsiyasi  bajariladi  (u 

kengaytirilgan  holat  bilan  RS  triggerlardan  farq  qiladi,  oldingi  holatidan  qat'iy 

nazar mantiqiy nolga yoki bittasiga o'tadigan). J usuli RS triggerning S usuli bilan 

bir  xil.  K  usuli  RS  triggerining  R  kirishiga  o'xshaydi.  J  kiritishga  bittasini,  K 

kirishga nolni qo'llaganida, triggerning chiqish holati mantiqiy holatga teng bo'ladi. 

Va bitta kirishga K va nolga J ga qo'llanganda, triggerning chiqish holati mantiqiy 

nolga teng bo'ladi. 

 JK-trigger – ikkita boshqaruv kirishiga ega bo‘lgan universal trigger: 

J (jamp -kutilmagan ulanish) - triggerni mustaqil 1 holatga o‘rnatadi. 

K (kill -kutilmagan uzilish) - triggerni mustaqil 0 holatga o‘rnatadi. 

JK trigger asosida D-trigger yoki T-trigger qurish mumkin. JK-trigger haqiqat 

jadvalida ko'rib turganingizdek, J va K kirishlar uchun 1-mantiq bir vaqtning 

o'zida qo'llanilganda u har safar teskari holatga o'tadi.Bu xususiyat JK va K 


yozuvlarini birlashtirish orqali JK trigger asosida T-flip-flop yaratishga imkon 

beradi [21]. 

 

JK-triggerning ishlash algoritmi formulada ifodalanishi mumkin 



 

 

 



Qo'shimcha asenxron teskari S va R kirishlar bilan JK-

trigger 


 

JK-triggerning Karno kartasi  

 

 

 J    K   Q(t)  Q(t+1) 

























Download 0.54 Mb.

Do'stlaringiz bilan baham:




Ma'lumotlar bazasi mualliflik huquqi bilan himoyalangan ©fayllar.org 2024
ma'muriyatiga murojaat qiling