Bajardi: Otaqulov Sherzod


Download 498.97 Kb.
bet1/4
Sana13.04.2023
Hajmi498.97 Kb.
#1350843
  1   2   3   4
Bog'liq
2 5307621319112660612




Mavzu: VHDL O’rnatilgan tizimlar dasturlash tili

Bajardi: Otaqulov Sherzod


1983-yilda VHDL dastlab AQSh Mudofaa vazirligining buyrug'i bilan etkazib beruvchi kompaniyalar uskunalarga kiritgan ASIClarning xatti-harakatlarini hujjatlashtirish uchun ishlab chiqilgan. Standart MIL-STD-454N 4.5.1 "VHDL da ASIC hujjatlari" bo'limidagi 64-talabda VHDLda "Mikroelektron qurilmalar" hujjatlarini aniq talab qiladi.
Ushbu hujjatdagi ma'lumotlardan ASIC-larni simulyatsiya qilish g'oyasi shu qadar jozibali ediki , VHDL fayllarini o'qiy oladigan mantiqiy simulyatorlar ishlab chiqilgan. Keyingi qadam VHDL-ni o'qiydigan va sxemaning jismoniy amalga oshirilishining ta'rifini chiqaradigan mantiqiy sintez vositalarini ishlab chiqish edi. Mudofaa vazirligi Ada-ga asoslangan sintaksisning iloji boricha ko'proq bo'lishini talab qilganligi sababli, Ada-ni ishlab chiqishda sinchkovlik bilan sinovdan o'tgan kontseptsiyalarni qayta ixtiro qilmaslik uchuniqtibos keltirish kerak ] VHDL Ada -dan katta miqdorda qarz oladi. kontseptsiyada ham, sintaksisda ham dasturlash tili .
IEEE 1076-1987 standartiga muvofiq ishlab chiqilgan VHDL ning dastlabki versiyasi [3] raqamli ( butun va real ), mantiqiy ( bit va mantiqiy ), belgilar va vaqtni , shuningdek chaqirilgan va chaqirilgan massivlarni o'z ichiga olgan keng turdagi ma'lumotlarni o'z ichiga oladi. deb nomlangan string .bitbit_vectorcharacter
Biroq, ushbu nashr tomonidan hal etilmagan muammo "ko'p qiymatli mantiq" edi, bu erda signalning haydovchi kuchi (yo'q, zaif yoki kuchli) va noma'lum qiymatlar ham hisobga olinadi. Buning uchun 9-qiymatli mantiq turlarini belgilovchi IEEE standarti 1164std_logic kerak edi: skaler va uning vektor versiyasi std_logic_vector. std_UlogicO'zining asosiy turining hal qilingan kichik turi bo'lib , std_logic-tipli signallar avtobus tuzilmalarini modellashtirish uchun bir nechta haydash imkonini beradi, bunda ulangan rezolyutsiya funktsiyasi ziddiyatli topshiriqlarni etarli darajada bajaradi.
1993 yilda yangilangan IEEE 1076 sintaksisni yanada moslashtirdi, nomlashda ko'proq moslashuvchanlikni ta'minladi, ISO-8859-1character bosma belgilarga ruxsat berish uchun turni kengaytirdi, operatorni qo'shdi va hokazo. belgilang ]xnor
Standartdagi kichik o'zgarishlar (2000 va 2002) himoyalangan turlar g'oyasini qo'shdi ( C++ dagi sinf tushunchasiga o'xshash ) va portni xaritalash qoidalaridan ba'zi cheklovlarni olib tashladi.
IEEE 1164 standartiga qo'shimcha ravishda tilning funksionalligini kengaytirish uchun bir nechta bolalar standartlari joriy etildi. IEEE standarti 1076.2 haqiqiy va murakkab ma'lumotlar turlarini yaxshiroq boshqarishni qo'shdi. IEEE 1076.3 standarti vektorlar ustida arifmetik operatsiyalarni osonlashtirish uchun imzolangan va imzosiz turlarni kiritdi. IEEE standarti 1076.1 ( VHDL-AMS nomi bilan tanilgan ) analog va aralash signal zanjiri dizayni kengaytmalarini taqdim etdi.
Ba'zi boshqa standartlar VHDL dan kengroq foydalanishni qo'llab-quvvatlaydi, xususan, VITAL (ASIC kutubxonalariga qaratilgan VHDL tashabbusi) va mikroto'lqinli pechning konstruktsiyasi kengaytmalari.
2006 yil iyun oyida Accellera VHDL Texnik qo'mitasi (IEEE tomonidan standartning navbatdagi yangilanishi ustida ishlash uchun topshirilgan) VHDL-2006 loyihasining 3.0 loyihasini tasdiqladi. Eski versiyalar bilan to'liq muvofiqlikni saqlab qolgan holda, ushbu tavsiya etilgan standart VHDL kodini yozish va boshqarishni osonlashtiradigan ko'plab kengaytmalarni taqdim etadi. Asosiy oʻzgarishlar qatoriga asosiy 1076 standartiga bolalar standartlarini (1164, 1076.2, 1076.3) kiritish, kengaytirilgan operatorlar toʻplami, ish va bayonotlar yaratishning yanada moslashuvchan sintaksisi , VHPI (VHDL Protsessual interfeysi) (C/C++ tillariga interfeys) kiritilgan. ) va PSL ning kichik to'plami ( Mulk spetsifikatsiyasi tili). Ushbu o'zgarishlar sintez qilinadigan VHDL kodining sifatini yaxshilashi, test stollarini yanada moslashuvchan qilishi va tizim darajasidagi tavsiflar uchun VHDL dan kengroq foydalanish imkonini berishi kerak.
2008 yil fevral oyida Accellera VHDL 4.0 ni tasdiqladi, u norasmiy ravishda VHDL 2008 nomi bilan ham tanilgan, u 3.0 versiyasi uchun sinov davrida topilgan 90 dan ortiq muammolarni hal qildi va kengaytirilgan umumiy turlarni o'z ichiga oladi. 2008 yilda Accellera VHDL 4.0 ni IEEE 1076-2008 ga kiritish uchun ovoz berish uchun IEEEga chiqardi. VHDL standarti IEEE 1076-2008 2009 yil yanvar oyida nashr etilgan.
VHSIC Hardware Description Language ( VHDL ) apparat tavsifi tili (HDL) boʻlib, u tizim darajasidan tortib mantiqiy eshiklargacha boʻlgan turli darajadagi mavhumlik darajasida raqamli tizimlarning xatti-harakatlari va tuzilishini modellashtirishi mumkin , dizayn kiritish, hujjatlar, va tekshirish maqsadlari. 1987 yildan beri VHDL Elektr va elektronika muhandislari instituti (IEEE) tomonidan IEEE Std 1076 sifatida standartlashtirilgan ; eng so'nggi versiyasi IEEE Std 1076-2019 . Analog va aralash signallarni modellashtirishtizimlari, VHDL-AMS (rasmiy IEEE 1076.1) deb nomlangan VHDL asosidagi IEEE standartlashtirilgan HDL ishlab chiqilgan.
VHDL Amerika Qo'shma Shtatlari Mudofaa Departamenti uni yaratgan juda yuqori tezlikdagi integral sxemalar dasturi (VHSIC) sharafiga nomlangan. 1980-yillarning boshlarida VHSIC dasturi oʻzi ishlab chiqmoqchi boʻlgan integral mikrosxemalarni loyihalashda foydalanish uchun yangi HDL ni qidirdi . Ushbu harakatning mahsuli 1985 yilda chiqarilgan VHDL Version 7.2 edi. Uni IEEE standarti sifatida standartlashtirish harakati keyingi yilda boshlangan.

Download 498.97 Kb.

Do'stlaringiz bilan baham:
  1   2   3   4




Ma'lumotlar bazasi mualliflik huquqi bilan himoyalangan ©fayllar.org 2024
ma'muriyatiga murojaat qiling